中國福建網(wǎng)

當(dāng)前位置:中國福建網(wǎng) > 機(jī)械 > 正文

國內(nèi)刻蝕機(jī)供應(yīng)商崛起有望

作者: 編輯 來源:互聯(lián)網(wǎng) 發(fā)布時間:2018-10-08

┊文章閱讀:

來源:內(nèi)容綜合自小蔥看電子,作者 國君電子王聰/張?zhí)炻劊x謝。

晶圓制造是半導(dǎo)體生產(chǎn)的必要環(huán)節(jié),它是指利用二氧化硅作為原材料制作單晶硅硅片的過程。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

刻蝕是晶圓制造的關(guān)鍵步驟,刻蝕技術(shù)高低直接決定了芯片制程的大小,并且在成本上僅次于光刻,近年來隨著3D NAND技術(shù)的不斷興起,刻蝕的重要性也愈發(fā)上升。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

立足刻蝕重要參數(shù),干法刻蝕大勢所趨

刻蝕是用化學(xué)或物理方法對襯底表面或表面覆蓋薄膜進(jìn)行選擇性腐蝕或剝離的過程,進(jìn)而形成光刻定義的電路圖形。刻蝕的基本目標(biāo)是在涂膠的硅片上正確的復(fù)制掩模圖形。有圖形的光刻膠層在刻蝕中不受到腐蝕源顯著的侵蝕。這層掩蔽膜用來在刻蝕中保護(hù)硅片上的特殊區(qū)域而選擇性地刻蝕掉未被光刻膠保護(hù)的區(qū)域。在通常的CMOS工藝流程中,刻蝕都是在光刻工藝之后進(jìn)行的。從這一點來看,刻蝕可以看成在硅片上復(fù)制所想要圖形的最后主要圖形轉(zhuǎn)移工藝步驟。

刻蝕分為兩種:干法刻蝕濕法刻蝕。在濕法刻蝕中,液體化學(xué)試劑以化學(xué)方式(如酸、堿和溶劑等)去除硅片表面的材料。干法刻蝕是把硅片表面暴露于氣態(tài)中產(chǎn)生的等離子體,等離子體通過光刻膠中開出的窗口,與硅片發(fā)生物理或化學(xué)反應(yīng)(或這兩種反應(yīng)),從而去掉暴露的表面材料。濕法刻蝕由于需要大量對人體和環(huán)境有害的腐蝕性化學(xué)試劑,目前在大規(guī)模集成電路制造中,正被干法刻蝕所替代。目前市場主流的刻蝕技術(shù)是干法刻蝕,占比高達(dá)90%。

刻蝕主要通過幾個參數(shù)來表征,其中刻蝕速率是指在刻蝕過程中去除硅表面材料的速度,另一個刻蝕速率相關(guān)的概念是選擇比,用來表示同一刻蝕條件下一種材料與另一種材料相比刻蝕速率快多少,高選擇比意味著只刻蝕去掉想去除的那一層??涛g剖面指的是刻蝕圖形的側(cè)壁形狀,分為兩種:各向同性刻蝕剖面以及各向異性刻蝕剖面,各向異性的刻蝕剖面容易形成鉆蝕,從而形成刻蝕偏差??涛g均勻性是用來衡量刻蝕工藝均勻性的指標(biāo),非均勻性刻蝕會產(chǎn)生額外的過刻蝕,保持硅片的均勻性是保證制造性能一致的關(guān)鍵。而刻蝕殘留物是指在刻蝕后留在硅片表面不想要的材料,可以在去除光刻膠過程中用濕法刻蝕去掉。

濕法刻蝕本質(zhì)上是各向同性的,因而濕法刻蝕不能用于亞微米器件中的選擇性圖形刻蝕。一些干法等離子體系統(tǒng)也能進(jìn)行各向同性刻蝕。對于亞微米尺寸的圖形來說,希望刻蝕剖面是各向異性的,即刻蝕只在垂直于硅片表面的方向進(jìn)行,只有很少的橫向刻蝕。這種垂直的側(cè)壁使得在芯片上可以制作高密度的刻蝕圖形。先進(jìn)集成電路應(yīng)用上通常需要88到89度的側(cè)壁。各向異性刻蝕大部分是通過干法等離子體刻蝕來實現(xiàn)的。

干法刻蝕系統(tǒng)中,刻蝕作用是通過化學(xué)作用或物理作用,或者是化學(xué)和物理的共同作用來實現(xiàn)的,這些不同的刻蝕方法擁有不同的優(yōu)劣勢。其中物理和化學(xué)混合作用機(jī)理刻蝕能獲得好的線寬控制并有不錯的選擇比,因此被大多數(shù)干法刻蝕工藝采用。

干法刻蝕三足鼎立,硅刻蝕難度最大

干法刻蝕根據(jù)被刻蝕的材料類型來分類,分為三種:金屬刻蝕、介質(zhì)刻蝕硅刻蝕。

介質(zhì)刻蝕在絕緣材料中雕刻圖案以將半導(dǎo)體器件的導(dǎo)電部分分隔開。對于先進(jìn)的設(shè)備,這些結(jié)構(gòu)可能具有較高的深寬比,并且涉及復(fù)雜、敏感的材料。 與刻蝕參數(shù)目標(biāo)輕微的偏差(即使在原子尺度)也會對器件的質(zhì)量產(chǎn)生負(fù)面影響。介質(zhì)刻蝕一般要求刻蝕出比較高深寬比的缺口,并且要求下層材料有較高的選擇比。

硅刻蝕用于需要去除硅的場合,淺溝槽隔離刻蝕(STI)、多晶硅柵極刻蝕(poly gate)是定義半導(dǎo)體器件尺寸的關(guān)鍵工序。由于技術(shù)的不斷推進(jìn),在傳統(tǒng)硅刻蝕的基礎(chǔ)上發(fā)展出了深硅刻蝕,它有別于VLSI 中的硅刻蝕,因此又稱為先進(jìn)硅刻蝕(ASE) 工藝。它由于采用了感應(yīng)耦合等離子體(ICP),所以與傳統(tǒng)的反應(yīng)離子刻蝕(RIE)、電子回旋共振(ECR)等刻蝕技術(shù)相比,具有更大的各向異性刻蝕速率比和更高的刻蝕速率,且系統(tǒng)結(jié)構(gòu)簡單。與此同時,在VLSI工藝技術(shù)進(jìn)入深亞微米階段之后,由于器件尺寸的不斷縮小,產(chǎn)生了一系列嚴(yán)重影響器件性能的小尺寸效應(yīng),為了克服這些小尺寸效應(yīng),有效的器件隔離結(jié)構(gòu)至關(guān)重要?;贚OCOS的隔離技術(shù)已經(jīng)不適應(yīng)深亞微米工藝的要求,STI技術(shù)由于所具有的幾乎為零的場侵蝕,更好的平坦性和抗鎖定性能以及低的結(jié)電容,已成為深亞微米工藝的主流隔離技術(shù)。STI良好的隔離性是以集成一系列復(fù)雜的單步工藝來獲得的,工藝上的難點主要包括溝槽的刻蝕、介質(zhì)的填充以及CMP的平坦化。

金屬蝕刻工藝在連接形成集成電路(IC)的各個部件(例如,形成電線和電連接)中起關(guān)鍵作用。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

硅刻蝕作為晶體管層刻蝕方法,要求最高,難度最大。硅刻蝕是對底層晶體管的刻蝕,其對刻蝕形貌精度要求較高。因此其通常需要具備高選擇比、高各向異性、高控制精度。如選擇比方面,對于介質(zhì)刻蝕,選擇比一般為20:1到50:1左右;對于金屬刻蝕,選擇比則更低;而對于硅刻蝕,由于多晶硅柵、淺槽隔離等尺寸極小,故精度要求極高,選擇比要達(dá)到150:1左右。同時小尺寸帶來深寬比增大,硅刻蝕在14nm以下的深寬比會達(dá)到約30:1及以上,刻蝕難度加大。就制程工藝方面,對于介質(zhì)刻蝕和金屬刻蝕,28nm的刻蝕精度就可以處理14nm的集成電路,而硅刻蝕在14nm的集成電路制程下必須要求14nm的刻蝕精度。

干法刻蝕技術(shù)不斷演進(jìn), ALE成未來之星

具體到干法刻蝕,運(yùn)用等離子體的刻蝕是目前主流的刻蝕手段,按照等離子體產(chǎn)生的方式可以分為ICP、CCPTCP等。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

電容耦合(CCP)等離子體是通過匹配器和隔直電容把射頻電壓加到兩塊平行平板電機(jī)上進(jìn)行放電而生成的,兩個電極和等離子體構(gòu)成一個等效電容器。最早用于半導(dǎo)體刻蝕工藝的等離子體就是這種電容耦合射頻放電等離子體。不過在早期的等離子體刻蝕工藝中,都是采用單一射頻功率原來驅(qū)動并維持放電,這種單一CCP放電的缺點之一是不能對等離子體密度和轟擊到晶片上的粒子能量進(jìn)行獨立控制,為了解決這個矛盾,近幾年人們提出了雙頻(或多頻)電源驅(qū)動CCP放電,其a中一個是高頻電源,一個是低頻電源。原則上講,采用這種雙頻驅(qū)動放電技術(shù)可以實現(xiàn)等離子體參數(shù)和離子能量分布的獨立控制。前提是高頻電源的頻率足夠高,否則當(dāng)兩個電源頻率比較接近時,將會存在很強(qiáng)的非線性相互作用,這將影響等離子體密度的均勻性。這是雙頻放電等離子體刻蝕工藝中一個急需解決的問題。

電感耦合等離子體可以通過電流線圈纏繞充滿氣體的石英玻璃管進(jìn)行放點來產(chǎn)生。有兩種不同方式的電感耦合放電:一種是把射頻線圈纏繞在柱狀放電室的側(cè)面,而另一種是把線圈放置在放電室頂部。在20世紀(jì)90年代,人們發(fā)現(xiàn)這種電感耦合放電可以在很低的工作氣壓下進(jìn)行,而且可以產(chǎn)生高密度的等離子體,特別是不需要引入外磁場來增強(qiáng)這種放電。正是由于這些優(yōu)點,近年來這種等離子體已經(jīng)廣泛的應(yīng)用于硅半導(dǎo)體的刻蝕工藝中。電感耦合等離子體具有反常趨膚效應(yīng)、無碰撞加熱、負(fù)功率吸收、高次諧波電流等特點。

運(yùn)用等離子體的干法刻蝕刻蝕按照腐蝕原理可以分為等離子體刻蝕(主要是化學(xué)反應(yīng)過程),反應(yīng)離子刻蝕(物理化學(xué)反映過程)(RIE),離子束銑(Ion Milling),聚焦離子束刻蝕等(FIB)。其中反應(yīng)離子刻蝕(RIE)是目前業(yè)界重點發(fā)展的方向,廣泛應(yīng)用在國外龍頭如拉姆研究,東京電子,國內(nèi)中微半導(dǎo)體和北方華創(chuàng)也采用了反應(yīng)離子刻蝕。反應(yīng)離子刻蝕(RIE)是利用高頻電場下氣體輝光放電產(chǎn)生的離子轟擊的物理效應(yīng)和活性粒子的化學(xué)效應(yīng)相結(jié)合來實現(xiàn)加工目的的一種技術(shù)。一般來說具有較高的刻蝕速率,良好的方向性和選擇性,能刻蝕精細(xì)結(jié)構(gòu)的圖形。

高刻蝕速度和高均勻性一直是微細(xì)加工技術(shù)發(fā)展的主要內(nèi)容,隨著基片尺寸不斷增大,器件結(jié)構(gòu)尺寸不斷縮小,這些要求就更為突出。新的等離子體產(chǎn)生方式是近年來干法刻蝕技術(shù)發(fā)展的重點。電子回旋共振(ECR)技術(shù)已得到普遍應(yīng)用。它是1984年前后日本日立公司最早研究的,在較長時間里得不到重視,只在日本發(fā)展。直到八十年代末,美英法德的少數(shù)公司才開始開發(fā),近年來發(fā)展很快。曾有人認(rèn)為ECR技術(shù)是今后的發(fā)展主流,但沒過多久,美國Lam公司與IGM公司合作發(fā)展了線圈耦合等離子體(TCP)技術(shù),最近又出現(xiàn)螺線式、螺線共振式、空心陽極式和共振感應(yīng)式等新型等離子體結(jié)構(gòu)。這些新開拓的技術(shù)共同特點是能夠產(chǎn)生高密度等離子體,以提高刻蝕速率和刻蝕均勻性。就目前來看,我國兩大刻蝕設(shè)備供應(yīng)商都相繼推出了自己的ICP刻蝕設(shè)備,并且在不斷發(fā)展更加先進(jìn)的等離子體產(chǎn)生技術(shù)。中微半導(dǎo)體今年推出的Primo nanova和北方華創(chuàng)硅刻蝕設(shè)備NMC612D都采用了ICP技術(shù)。

摩爾定律的繼續(xù)發(fā)展已經(jīng)不僅僅指簡單的微縮,無論是從2D向3D轉(zhuǎn)變或是其他方式,產(chǎn)業(yè)界始終都有方法讓芯片的密度和性能繼續(xù)提高,而能耗和成本持續(xù)降低,反應(yīng)離子刻蝕雖然快速可靠,但是隨著技術(shù)的不斷演進(jìn)仍然存在三個挑戰(zhàn)。首先,隨著結(jié)構(gòu)尺寸的不斷縮小,人們需要盡可能降低由各種原因?qū)е碌墓に囌`差。其次,刻蝕工藝需要在去除目標(biāo)材料的同時,完好保留下一層材料。第三,當(dāng)達(dá)到期望的深度之后,等離子體中的高能離子可能會導(dǎo)致硅片表面粗糙或底層損傷,這是需要激勵避免的問題。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

原子層刻蝕(ALE)是一種能夠精密控制被去除的材料量的先進(jìn)技術(shù)。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

ALE分為兩大類,等離子體ALE高溫ALE,也有試圖結(jié)合兩種技術(shù)的高溫等離子體ALE技術(shù)。這兩類ALE技術(shù)分別適用于不同類型的刻蝕,盡管在某些相同過程中它們是一起使用的,等離子體ALE使用高能離子或中性物質(zhì)從表面上剔除物質(zhì)的方法來進(jìn)行刻蝕;而高溫ALE應(yīng)用于特定的高溫氣相反應(yīng)。長時間以來,等離子體ALE一直在生產(chǎn)中應(yīng)用。通常是等離子體ALE進(jìn)行各向異性或定向蝕刻,相反的,高溫ALE執(zhí)行各向同性或單向蝕刻。混合技術(shù)仍然在研發(fā)當(dāng)中,等離子體ALE已經(jīng)應(yīng)用于生產(chǎn)中,而高溫ALE仍處于早期階段,必須在更廣泛的材料上進(jìn)行實驗。目前刻蝕設(shè)備國際龍頭拉姆研究和東京電子相繼推出了基于ALE技術(shù)的刻蝕設(shè)備,而國內(nèi)企業(yè)北方華創(chuàng)也于近日公開了名為《原子層刻蝕裝置及采用其的原子層刻蝕方法》發(fā)明專利文獻(xiàn),可見相關(guān)研究已經(jīng)展開,產(chǎn)品發(fā)布指日可待。

受益建廠潮,多重因素共同推動市場需求

  • 設(shè)備投資占比巨大,刻蝕設(shè)備是重要一環(huán)

隨著半導(dǎo)體制程邁入10nm以內(nèi),建設(shè)晶圓生產(chǎn)線的成本迅速提升,一條90nm制程的晶圓生產(chǎn)線的成本是20億美元,20nm的成本是67億美元而未來5nm生產(chǎn)線的成本將高達(dá)160億美元,在這快速增加的成本背后,半導(dǎo)體設(shè)備是產(chǎn)線投資的主要投入項。半導(dǎo)體設(shè)備不僅種類繁多,而且為了在小制程下實現(xiàn)高精度操作,對設(shè)備具有極高的技術(shù)要求。技術(shù)的復(fù)雜性導(dǎo)致半導(dǎo)體設(shè)備具有極高的技術(shù)壁壘,價格昂貴,在90nm產(chǎn)線中設(shè)備支出占到70%,在20nm制程中占到85%,從14億美元提高到了57億美元。

晶圓制造設(shè)備占設(shè)備投資比例的80%,是占比最大的一類半導(dǎo)體設(shè)備。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

晶圓制造設(shè)備巨大的價值又以光刻機(jī)刻蝕機(jī)以及薄膜沉積設(shè)備為主,這三種設(shè)備合計能占到設(shè)備投資額的50%-70%左右。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

  • 受益建廠潮,國內(nèi)刻蝕設(shè)備需求大,國產(chǎn)化率有待提

從過往產(chǎn)業(yè)轉(zhuǎn)移過程來看,半導(dǎo)體全球級霸主的產(chǎn)生往往伴隨著新應(yīng)用新市場的快速崛起和國家財政的大力支持。目前我國半導(dǎo)體產(chǎn)業(yè)正處于新一代智能手機(jī)、物聯(lián)網(wǎng)、人工智能、5G等行業(yè)崛起的過程中,應(yīng)用市場需求龐大;同時政府以多項文件、專項計劃大力支持,又通過大基金進(jìn)行資本投入,使得我國兼具著產(chǎn)業(yè)轉(zhuǎn)移的兩大歷史條件,有望成為第三次產(chǎn)業(yè)轉(zhuǎn)移的最大受益者。

伴隨著第三次產(chǎn)業(yè)轉(zhuǎn)移,近年來,大陸半導(dǎo)體產(chǎn)業(yè)迎來大規(guī)模建廠潮,而伴隨著國內(nèi)產(chǎn)業(yè)投資量的迅猛增長,相關(guān)廠商的設(shè)備需求也大大增加。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

接下來對于國內(nèi)刻蝕機(jī)市場規(guī)模進(jìn)行一個測算,首先統(tǒng)計正在興建的產(chǎn)線情況。除了已經(jīng)量產(chǎn)的9條12英寸產(chǎn)線外,從2014下半年至2017上半年,中國大陸正在興建或宣布計劃興建的12英寸晶圓生產(chǎn)線共有23條(包括擴(kuò)產(chǎn)升級的產(chǎn)線),大大超越了已有數(shù)量,這在史上也是絕無僅有的集建設(shè)時期。

受益建廠潮,國內(nèi)產(chǎn)線建設(shè)拉動20億美元刻蝕設(shè)備需求。分別用兩種方法估計刻蝕設(shè)備的市場規(guī)模:

(1)根據(jù)之前的估計,對于不同制程,設(shè)備投資占產(chǎn)線投資比例不同:70%—90/65nm、75%—45nm、80%—32nm、85%—20nm,并且假設(shè)刻蝕設(shè)備占設(shè)備投資的15%,那么根據(jù)之前的數(shù)據(jù)可以得到國內(nèi)在建產(chǎn)線所需刻蝕設(shè)備的市場規(guī)模大約為128.48億美元。

(2)另一種方法是根據(jù)產(chǎn)線來估計規(guī)模,假設(shè)一條典型生產(chǎn)線的產(chǎn)能是3.5萬,根據(jù)目前的市場情況假設(shè)一條產(chǎn)線需要介質(zhì)刻蝕機(jī)20臺、硅刻蝕機(jī)15臺、金屬刻蝕機(jī)10臺,硅刻蝕機(jī)和介質(zhì)刻蝕機(jī)價格都是400萬美元,金屬刻蝕機(jī)一臺單價700萬美元,那么一條典型的生產(chǎn)線的刻蝕設(shè)備價值是1.175億美元,根據(jù)在建產(chǎn)能計算共有93.6億美元。

對兩種方法設(shè)置權(quán)重,對第一種方法設(shè)置70%的權(quán)重,對第二種設(shè)置30%的權(quán)重,加權(quán)平均之后可以得到現(xiàn)有在建計劃預(yù)計拉動118.16億美元的市場需求,按照國內(nèi)現(xiàn)在國產(chǎn)化率11.41%,對未來進(jìn)行測算,假設(shè)未來國產(chǎn)化率會隨著國內(nèi)設(shè)備企業(yè)的不斷成長而不斷提高,平均可以達(dá)到17%的水平,那么在建生產(chǎn)工廠預(yù)計會提供給國內(nèi)刻蝕設(shè)備廠商20.08億美元的設(shè)備需求。

刻蝕設(shè)備國產(chǎn)化率極低,潛在空間巨大。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

  • 多種因素共同推動,刻蝕設(shè)備需求高企

刻蝕設(shè)備市場體量巨大、增速可觀。據(jù) Factor Equilibrium 預(yù)測,2016 年全球刻蝕設(shè)備市場規(guī)模為 78 億美元,2017-2025年市場銷售額年復(fù)合增長率為6.8%,銷售量年復(fù)合增長率為8.5%,而Gartner則預(yù)計2017- 2019年刻蝕設(shè)備全球銷售額年復(fù)合增長率為6.7%。未來受益于芯片制造工藝制程不斷微縮、新結(jié)構(gòu)和新材料的不斷涌現(xiàn),刻蝕設(shè)備增量可期。

制造工藝日益復(fù)雜提升刻蝕難度,拉動刻蝕需求

隨著制程不斷推進(jìn)和設(shè)計結(jié)構(gòu)的革新,芯片制造工藝不斷發(fā)展,一方面刻蝕難度的加大,推動了刻蝕設(shè)備的迭代;另一方面刻蝕步驟增加,拉動了刻蝕設(shè)備的需求量。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

(1)Multiple Patterning技術(shù)

Multiple Patterning技術(shù)廣泛應(yīng)用于10nm制程時代,主要可分為Pitch Split技術(shù)和SAMP技術(shù)。集成電路微縮化不斷發(fā)展,芯片制程不斷提升,“光刻—刻蝕”的精密程度決定著集成電路的工藝節(jié)點。隨著制程進(jìn)入10nm級別,單步“光刻—刻蝕”已無法滿足需求,Multiple Patterning技術(shù)可以提高圖形密度,目前廣泛應(yīng)用于工業(yè)。Multiple Patterning技術(shù)主要可分為Pitch Split技術(shù)和SAMP技術(shù)。

Pitch Split技術(shù),增加了刻蝕次數(shù),拉動刻蝕設(shè)備的需求。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

Self-aligned Multiple Patterning(SAMP)是目前10nm級制程領(lǐng)域極具競爭力的方案。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

SAMP技術(shù)增加了刻蝕次數(shù)和刻蝕難度,推動了刻蝕設(shè)備的發(fā)展。一方面,由于SAMP過程涉及多層掩模的刻蝕,因此需要匹配多次刻蝕,增加了刻蝕次數(shù)。另一方面,該技術(shù)的主要難度在于:(1)選擇比問題:重復(fù)次數(shù)增加的SAMP技術(shù)會需要更多層側(cè)墻和掩模,使得刻蝕的工藝越加復(fù)雜,對于不同層如形成預(yù)圖形的物質(zhì)、間隔物、下層材料等,刻蝕選擇比也具有更高的要求,從而保證圖形轉(zhuǎn)移的準(zhǔn)確性。(2)側(cè)墻形貌的控制:側(cè)墻形貌是圖形轉(zhuǎn)移的關(guān)鍵掩模,刻蝕難度也隨側(cè)墻層數(shù)的增多而增多。一般采用各向異性刻蝕法獲得側(cè)墻,后美國曾申請專利采用側(cè)向同性刻蝕法以獲得更理想的側(cè)墻形貌,同時也對刻蝕工藝提出了更高的要求。因此SAMP技術(shù)使得刻蝕步驟增多且難度加大,拉動了刻蝕設(shè)備的更新?lián)Q代。

(2)基于金屬硬掩模的雙大馬士革工藝

“雙大馬士革工藝”的用途是制造高密度的金屬互連線?;诩呻娐分瞥滩粩嗵嵘?,金屬連線密度不斷增大,由此帶來的電阻和寄生電容不可忽視,推動集成電路制造從金屬鋁互連發(fā)展為由鑲嵌工藝實現(xiàn)的金屬銅互連,同時低k值材料代替了二氧化硅成為金屬層間的絕緣介質(zhì)。這就是“雙大馬士革工藝”。其一般步驟為:先挖出柱狀通孔,接著在金屬層間的絕緣層中挖溝槽,然后在孔和槽中同時填入銅,再通過化學(xué)機(jī)械拋光工藝將銅磨平,形成金屬互連線。

基于金屬硬質(zhì)掩模一體化刻蝕的雙大馬士革工藝最為先進(jìn),其增大了刻蝕難度,拉動了金屬刻蝕設(shè)備的發(fā)展。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

(3)淺槽隔離技術(shù)(Shallow Trench Isolation;STI)

淺槽隔離(STI)技術(shù)廣泛用于有源區(qū)域之間的絕緣結(jié)構(gòu)的制作,其比LOCOS工藝擁有較佳的隔離特性。STI結(jié)構(gòu)的形成通常是先在半導(dǎo)體基底上沉積一層氮化硅層,然后圖案化此氮化硅層形成硬掩膜,再蝕刻基底,在相鄰的元件之間形成陡峭的溝渠;最后,在溝渠中填入氧化物形成元件隔離結(jié)構(gòu)。

STI技術(shù)增大了刻蝕的難度,推動了刻蝕設(shè)備的發(fā)展。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

(4)高深寬比刻蝕和高選擇比刻蝕

隨著集成電路制程的縮小,制造工藝日益復(fù)雜,高深寬比、高選擇比、高均一性刻蝕日益重要。由于制程的縮小,在深度不變的情況的寬度愈來愈小,導(dǎo)致了更高的深寬比。高深寬比結(jié)構(gòu)(HAR)的刻蝕工藝極易產(chǎn)生側(cè)向彎曲、頂部CD和底部CD的變化、頸縮、傾斜及圖形扭曲等問題。因此其刻蝕過程包含多個刻蝕步驟來滿足剖面形貌、CD、刻蝕選擇比、均勻度及工藝重復(fù)性要求,刻蝕難度加大,推動了刻蝕設(shè)備的更新?lián)Q代。

隨著制造工藝日益復(fù)雜,刻蝕對象種類增多,高選擇比刻蝕日益重要。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

新結(jié)構(gòu)推動制造工藝發(fā)展,打開刻蝕設(shè)備市場

電子行業(yè)歷來有著從下游看上游的邏輯,上下游聯(lián)動效應(yīng)顯著??涛g設(shè)備下游應(yīng)用領(lǐng)域主要包括邏輯、存儲、MEMS、 功率器件、RFID、CMOS 圖像傳感器等,其中邏輯和存儲應(yīng)用市場占比最高(約65%)且仍呈現(xiàn)上升趨勢。制程的不斷推進(jìn)以及設(shè)計結(jié)構(gòu)的日益復(fù)雜是推動刻蝕設(shè)備發(fā)展的核心邏輯。DRAM小型化,增加多重圖案刻蝕重復(fù)次數(shù)、HARC(High Aspect Ratio Contact)刻蝕需求;3D NAND 堆疊層數(shù)不斷增多,帶動 HARC市場增長;Logic/Foundry小型化,同時其結(jié)構(gòu)日益復(fù)雜,增加多重圖案重復(fù)次數(shù)和互連過程。下游的強(qiáng)勁增長,將催動上游刻蝕設(shè)備的需求。

(1)DRAM拉動Multiple Patterning和HAR市場

為提高存儲性能和密度,DRAM制程不斷縮小。DRAM的基本單元是一個晶體管加一個電容,并用電容有無電荷來表示數(shù)字信息0和1。為提升存儲速度、降低成本和功耗,DRAM逐漸微型化,2014年,20 nm/1x nm制程占據(jù)市場份額不到10%,2017年,其占比已達(dá)到約75%。2018年,1y nm制程將開始涌現(xiàn),并成為趨勢。DRAM制程不斷微縮,推動了其結(jié)構(gòu)設(shè)計的更新和器件尺寸的減小,增大了制造工藝的難度。

DRAM電路圖形密度增大,Multiple Patterning重復(fù)次數(shù)增加,增大刻蝕設(shè)備需求。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

DRAM儲存電容的深寬比隨制程微縮幾乎呈指數(shù)增加,拉動了HAR結(jié)構(gòu)的刻蝕需求。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

(2)3D NAND拉動HAR市場

3D NAND市場占比逐漸增大,層數(shù)逐漸增多。2D NAND是按照傳統(tǒng)二維平面模式對閃存顆粒進(jìn)行排列。隨著NAND存儲器在物聯(lián)網(wǎng)和行動裝置中的普及,輕薄、低功耗的需求日益提高,另外隨著晶圓物理極限的不斷逼近,固態(tài)硬盤上單體的存儲單元內(nèi)部能夠裝載的閃存顆粒接近極限,2D NAND已難以滿足要求,3D NAND技術(shù)應(yīng)運(yùn)而生,該技術(shù)是在二維平面基礎(chǔ)上,在垂直方向也進(jìn)行閃存顆粒排列,從而對原本的平面堆疊方式進(jìn)行了創(chuàng)新。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

沉積和刻蝕工藝定義3D NAND,拉動HAR結(jié)構(gòu)刻蝕,增加刻蝕步驟,為刻蝕設(shè)備需求提供強(qiáng)大支撐。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

(3)Foundry/Logic拉動Multiple Patterning和 Intercontact process市場

邏輯電路制程不斷微縮,晶體管層和互連層雙輪驅(qū)動刻蝕設(shè)備增長。2014年,大于20nm支撐占據(jù)市場;隨后14/16nm技術(shù)出現(xiàn);到2016年,制程步入10nm級別;2017年,7nm出現(xiàn),未來即將進(jìn)入5/3nm制程。對于底部晶體管制造,平面結(jié)構(gòu)制程工藝逐漸達(dá)到極限,難以有所突破,3D結(jié)構(gòu)FinFET逐漸取代平面結(jié)構(gòu)成為主流,增加刻蝕步驟和難度;對于上部互連層制造,隨著電路密度加大,互連結(jié)構(gòu)日益復(fù)雜,增加了刻蝕步驟;同時復(fù)雜的互連層帶來的損耗逐漸增多,互連材料和設(shè)計趨于變革,為刻蝕設(shè)備帶來新機(jī)會。

對于晶體管層,新結(jié)構(gòu)FinFET成為主流。MOSFET是目前半導(dǎo)體產(chǎn)業(yè)最常使用的一種場效電晶體(FET),在2D構(gòu)造MOSFET中,“閘極長度”大約10nm,是左右構(gòu)造中最細(xì)小也最難制作的,因此我們常常以閘極長度來代表半導(dǎo)體制程的進(jìn)步程度,這就是所謂的“制程線寬”。但是對于MOSFET而言,當(dāng)閘極長度縮小到20nm以下時,會產(chǎn)生“短溝道效應(yīng)”,源極和汲極的距離過近,閘極下方的氧化層愈來愈薄,電子可能發(fā)生“漏電”現(xiàn)象。同時原本電子是否能從源極流到汲極是由閘極電壓來控制的,當(dāng)閘極長度越小時,閘極對通道的影響力越小。平面結(jié)構(gòu)很難再通過縮小制程來實現(xiàn)性能提升,由此,3D的FinFET技術(shù)誕生。FinFET,稱為鰭式場效應(yīng)晶體管,在該結(jié)構(gòu)中,閘極設(shè)計成類似魚鰭的叉狀3D結(jié)構(gòu)。其相對于平面結(jié)構(gòu)具有眾多優(yōu)勢:(1)更好的溝道控制能力;(2)更低的漏電流;(3)更低的閾值電壓;(4)大幅縮減閘長。自2013年,F(xiàn)inFET技術(shù)開始成為市場主流。

FinFET結(jié)構(gòu)增大刻蝕難度和刻蝕步驟,對刻蝕設(shè)備提出了更高的要求。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

對于上部互連層,日益復(fù)雜的互連層,帶來刻蝕設(shè)備需求。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

海外龍頭“自研+并購”優(yōu)勢明顯,平臺型企業(yè)全設(shè)備布局

  • 泛林:持續(xù)研發(fā)成就刻蝕龍頭,積極外延占比不斷提升

泛林(Lam Research)是僅次于應(yīng)用材料、全球排名第二的半導(dǎo)體設(shè)備供應(yīng)商。泛林集團(tuán)成立于1980年,其主要業(yè)務(wù)包括薄膜沉積、刻蝕、去膠和清洗以及質(zhì)量監(jiān)控系統(tǒng)五大部分,是世界領(lǐng)先的半導(dǎo)體設(shè)備提供商,是刻蝕機(jī)領(lǐng)域的技術(shù)先驅(qū)和市場領(lǐng)導(dǎo)者。

泛林市場份額增長迅速,盈利能力強(qiáng)。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

公司刻蝕設(shè)備主要有五種類型:(1)Conductors Etch;(2)Dielectric Etch(介質(zhì)刻蝕);(3)Metal Etch(金屬刻蝕);(4)TSV刻蝕;(5)MEMS/Deep Si Etch。

一是Conductors刻蝕,主要有Kiyo產(chǎn)品系列。Kiyo產(chǎn)品系列通過原位蝕刻能力、連續(xù)等離子和先進(jìn)的無晶圓自動清洗技術(shù)實現(xiàn)了高生產(chǎn)率和多薄膜疊層的低缺陷率。為了解決圖案化中的技術(shù)變化問題,Kiyo系列采用Hydra?技術(shù)提供最先進(jìn)的功能,該技術(shù)可為NVM,DRAM和邏輯器件中的FEOL / BEOL工藝模塊提供良好的晶圓內(nèi)均勻性。此外,Kiyo系統(tǒng)可配置為執(zhí)行原子層蝕刻,應(yīng)用包括FinFET柵極,STI等。

二是介質(zhì)刻蝕。主要有Flex產(chǎn)品系列,多頻率、小體積、受限制的等離子體設(shè)計實現(xiàn)了均勻性、可重復(fù)性和可調(diào)性, 該系統(tǒng)通過原位多步刻蝕和連續(xù)等離子體功能實現(xiàn)了高生產(chǎn)率和低缺陷率,應(yīng)用包括用于DRAM電容單元的高縱橫比應(yīng)用等。

三是金屬刻蝕。Versys Metal產(chǎn)品系列為BEOL金屬蝕刻工藝提供了一個靈活的平臺。 對稱腔室設(shè)計和獨立調(diào)諧功能為金屬硬掩模應(yīng)用提供均勻性控制,該產(chǎn)品專有的腔室清潔技術(shù)確保BEOL加工的高可用性,高產(chǎn)量和出色的工藝重復(fù)性。

四是TSV刻蝕。主要為Syndion產(chǎn)品系列,該系列為TSV和CIS蝕刻應(yīng)用提供低風(fēng)險、靈活的解決方案。Syndion產(chǎn)品由于高蝕刻速率、出色的重復(fù)性以及TSV疊層(硅、電介質(zhì)、導(dǎo)電膜)中的多種材料的原位蝕刻而提供了較低的成本。 該系統(tǒng)支持傳統(tǒng)的單步刻蝕和快速交替工藝(“RAP”), 高工藝靈活性、卓越的剖面控制和出色的均勻性能夠成功實現(xiàn)各種互補(bǔ)金屬氧化物半導(dǎo)體和圖像傳感器應(yīng)用的TSV。

五是MEMS/Deep Si Etch。主要為DSiE 產(chǎn)品系列。該系列產(chǎn)品為深硅刻蝕提供了解決方案。廣泛應(yīng)用于MEMS的溝槽、通孔的深硅刻蝕,有源器件的硅槽刻蝕以及晶圓級封裝的TSV刻蝕。

公司在等離子體刻蝕領(lǐng)域市場份額第一。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

泛林重視研發(fā)技術(shù)領(lǐng)先,在多數(shù)細(xì)分領(lǐng)域均為龍頭地位,推動行業(yè)發(fā)展。如在刻蝕領(lǐng)域,泛林介質(zhì)刻蝕位列全球第二,導(dǎo)體刻蝕則排名第一;在沉積領(lǐng)域,其鎢沉積和銅沉積均為全球第一,氧化物沉積則排名第二;在清洗領(lǐng)域第三,在更細(xì)分的斜角清洗領(lǐng)域則位列全球第一。公司的領(lǐng)導(dǎo)力主要體現(xiàn)在四個方面。一是垂直縮放(Vertical Scaling),包括高縱橫比刻蝕技術(shù)、高生產(chǎn)率平臺、壓力控制、選擇性刻蝕等;二是多重圖案化(Multiple Patterning),包括墊片沉積、EUV吸收層、選擇性沉積、先進(jìn)制程控制等;三是原子量級加工(Atomic-Scale Processing),包括3D NAND ALD鎢字線、晶體管間隔物沉積、自動校準(zhǔn)ALE等;四是新材料(New Material)。公司對刻蝕、沉積、清洗等設(shè)備進(jìn)行持續(xù)而大量的研發(fā)投資,研發(fā)支出穩(wěn)定增長,2017年達(dá)到10.3億美元,占營收比重始終保持12%以上。

公司積極外延并購,打造平臺型企業(yè)。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

平臺型企業(yè)多元化業(yè)務(wù),技術(shù)互補(bǔ)產(chǎn)品協(xié)同,促進(jìn)解決方案升級,搶占市場份額。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

  • 應(yīng)用材料:刻蝕業(yè)務(wù)快速增長,多樣化并購開疆?dāng)U土

AMAT(應(yīng)用材料)公司是全球最大的半導(dǎo)體設(shè)備供應(yīng)商,其規(guī)模最大,設(shè)備種類最多,是平臺型公司的最典型代表。公司創(chuàng)建于1967年,業(yè)務(wù)主要由半導(dǎo)體產(chǎn)品事業(yè)部、全球服務(wù)產(chǎn)品事業(yè)部、顯示與臨近市場事業(yè)部和集團(tuán)及其他產(chǎn)品事業(yè)部組成。2017年,半導(dǎo)體產(chǎn)品事業(yè)部占公司總營收的65%,是公司最主要的收入來源。公司半導(dǎo)體設(shè)備產(chǎn)品種類繁多,覆蓋原子層沉積、化學(xué)氣相沉積、物理氣相沉積、電氣化學(xué)沉積、外延工藝、刻蝕、離子注入、測量與檢測、快速熱處理等,幾乎包攬光刻機(jī)以外的半導(dǎo)體制造各環(huán)節(jié)所需設(shè)備,是三星電子、臺積電、美光、英特爾等巨頭的設(shè)備供應(yīng)商。公司已連續(xù)15年位列半導(dǎo)體設(shè)備領(lǐng)域第一名,是全球半導(dǎo)體設(shè)備供應(yīng)商龍頭,行業(yè)地位穩(wěn)固。

公司刻蝕設(shè)備產(chǎn)品豐富,增長迅速。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

回顧AMAT的發(fā)展歷程,首先,公司積極進(jìn)行多樣化并購,拓展產(chǎn)品線,打造平臺型企業(yè)。公司目前幾乎包攬光刻機(jī)以外的半導(dǎo)體制造各環(huán)節(jié)所需設(shè)備,是半導(dǎo)體制造設(shè)備的綜合平臺。要保證廣泛的產(chǎn)品覆蓋度,公司不得不面臨技術(shù)研發(fā)投入大、研發(fā)周期長、失敗風(fēng)險高、技術(shù)更新迭代迅速的問題,而多樣化的外延并購可以加快公司技術(shù)更新速度,適應(yīng)市場需求,并降低內(nèi)部研發(fā)失敗的風(fēng)險。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

其次,公司順應(yīng)產(chǎn)業(yè)轉(zhuǎn)移趨勢,積極進(jìn)行全球布局,擴(kuò)大市場。上世紀(jì)70年代,美國對日本進(jìn)行以家電行業(yè)為主導(dǎo)的裝配產(chǎn)業(yè)轉(zhuǎn)移,這是歷史上第一次半導(dǎo)體產(chǎn)業(yè)轉(zhuǎn)移。基于此,1979和1984年,AMAT的日本子公司和技術(shù)研發(fā)中心相繼設(shè)立。1979至1983年期間,公司在日本地區(qū)銷售額年均復(fù)合增長率達(dá)93%,1983年日本銷售額占公司總銷售額比例達(dá)到30%。上世紀(jì)90年代,日本經(jīng)濟(jì)泡沫破裂,出現(xiàn)了從日本到韓國和臺灣的第二次半導(dǎo)體產(chǎn)業(yè)轉(zhuǎn)移,韓國和臺灣借此機(jī)會確立了在PC和手機(jī)端的芯片霸主地位,AMAT于1985和1989年分別設(shè)立韓國辦事處和臺灣辦事處。此外,公司于1984年開始進(jìn)入中國市場,成為第一個在中國內(nèi)地設(shè)立客服中心的半導(dǎo)體設(shè)備商,于1991年建立新加披辦事處,在歐洲的蘇格蘭、德國也設(shè)立了營業(yè)部。廣闊的全球市場使公司營業(yè)額不斷實現(xiàn)突破。

最后,AMAT始終注重內(nèi)部技術(shù)研發(fā)。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

標(biāo)的推薦:國內(nèi)廠商奮起直追,推薦關(guān)注北方華創(chuàng)、中微半導(dǎo)體

國內(nèi)公司服務(wù)優(yōu)勢明顯,技術(shù)緊跟步伐,有望實現(xiàn)彎道超車。(1)服務(wù)上,隨著制造工藝要求不斷提高,刻蝕設(shè)備日益復(fù)雜,設(shè)備相關(guān)服務(wù)日益重要。國內(nèi)公司相比于國外公司,具有地理優(yōu)勢,能夠為國內(nèi)客戶提供更加高效、快速響應(yīng)的售前、售中及售后服務(wù)。國內(nèi)公司有望以服務(wù)為優(yōu)勢,逐漸搶占市場份額,加速進(jìn)口替代。(2)技術(shù)上,國內(nèi)公司奮力趕超,在先進(jìn)制造工藝上已與國外公司站在同樣起跑線上。中微半導(dǎo)體在介質(zhì)刻蝕領(lǐng)域一騎絕塵,7nm制程已實現(xiàn)量產(chǎn),并成功進(jìn)入臺積電產(chǎn)線;5nm制程正在研發(fā),有望在該領(lǐng)域彎道超車;電容型介質(zhì)刻蝕設(shè)備已進(jìn)入全球前三。同時其已切入TSV硅通孔刻蝕設(shè)備和金屬硬掩膜刻蝕設(shè)備。其8英寸和12英寸設(shè)備國內(nèi)市占率超過50%。北方華創(chuàng)重點布局金屬刻蝕和硅刻蝕領(lǐng)域。其中硅刻蝕機(jī)已突破14nm技術(shù);金屬硬掩膜刻蝕機(jī)攻破28-14nm制程。同時其介質(zhì)刻蝕中側(cè)墻刻蝕已成為公司刻蝕設(shè)備強(qiáng)項。(3)發(fā)展策略上,公司均向平臺型企業(yè)邁進(jìn),通過合理并購開拓業(yè)務(wù),升級解決方案,搶占市場份額。

  • 北方華創(chuàng)(002371.SZ):深耕硅刻蝕,切入金屬掩??涛g

半導(dǎo)體裝備是公司最主要業(yè)務(wù)。2015年10月,七星電子(002371)和北方微電子戰(zhàn)略重組,2017年1月公司更名為“北方華創(chuàng)”,成為中國唯一集成電路前道工藝裝備上市公司。重組完成后,公司擁有半導(dǎo)體裝備、真空裝備、新能源鋰電設(shè)備及精密元器件四個事業(yè)群,是國內(nèi)集成電路高端工藝裝備的龍頭。其中半導(dǎo)體裝備為公司最主要業(yè)務(wù)。設(shè)備種類齊全,包括7大系列產(chǎn)品,覆蓋8大應(yīng)用領(lǐng)域,囊括晶圓制造過程中的薄膜生長和刻蝕環(huán)節(jié)以及輔助設(shè)備,包括七星電子的清洗機(jī)與氧化爐、北方微電子的刻蝕、物理氣相沉積和化學(xué)氣相沉積三大類設(shè)備,以及單片退火設(shè)備和退火爐。北方華創(chuàng)產(chǎn)品目前已實現(xiàn)LED、MEMS、光伏、先進(jìn)封裝等領(lǐng)域核心裝備的國產(chǎn)化替代,累計超過3100臺/套。

公司產(chǎn)品市場地位領(lǐng)先,下游應(yīng)用領(lǐng)域廣泛,客戶質(zhì)量高。公司產(chǎn)品廣泛應(yīng)用于LED、MEMS、光伏、先進(jìn)封裝等領(lǐng)域。在過去的5年中,LED、光伏、先進(jìn)封裝等領(lǐng)域公司設(shè)備市占率穩(wěn)居第一。公司的14nm制程等離子刻蝕機(jī)、ALD等設(shè)備已成功進(jìn)入集成電路主流代工廠;應(yīng)用于55nm的硅刻蝕機(jī)被國內(nèi)領(lǐng)軍集成電路芯片制造企業(yè)指定為Baseline機(jī)臺,28nmPVD和8英寸高密度等離子硅刻蝕機(jī)已進(jìn)入中芯國際生產(chǎn)線;公司的深硅刻蝕設(shè)備成功挺進(jìn)東南亞市場。

公司在刻蝕設(shè)備領(lǐng)域主攻硅刻蝕和金屬刻蝕,其中硅刻蝕機(jī)已突破14nm技術(shù)。2005年8月28日,北方微電子公司自主研發(fā)的8英寸高密度等離子體硅刻蝕機(jī)正式交付中芯國際(天津)集成電路制造有限公司,開始在生產(chǎn)線上進(jìn)行安裝和調(diào)試,這是中國第一臺自主研發(fā)干法刻蝕機(jī)在中芯國際上線,是中國在半導(dǎo)體設(shè)備研發(fā)領(lǐng)域的一個新的里程碑。目前,公司等離子刻蝕設(shè)備產(chǎn)品線豐富,在集成電路、半導(dǎo)體照明、微機(jī)電系統(tǒng)、先進(jìn)封裝、功率半導(dǎo)體等領(lǐng)域可提供高端裝備及工藝解決方案,形成了對硅、介質(zhì)、化合物半導(dǎo)體、金屬等多種材料的刻蝕能力。2016年,公司在LED刻蝕設(shè)備市場占有率達(dá)到83%。公司先進(jìn)的硅刻蝕機(jī),采用STI技術(shù),已突破14nm技術(shù)。公司有望率先突破14nm刻蝕領(lǐng)域。

NMC612D刻蝕機(jī)是北方華創(chuàng)自主研發(fā)的國內(nèi)首臺12英寸14納米FinFET等離子硅刻蝕機(jī),已正式進(jìn)入上海集成電路研發(fā)中心。自半導(dǎo)體制程進(jìn)入14nm,采用FinFET 3D結(jié)構(gòu)工藝已成為主流技術(shù)。14納米FinFET相比于傳統(tǒng)的平面型晶體管,引入了截然不同的工藝流程,這對刻蝕設(shè)備提出更高的挑戰(zhàn)。NMC612D硅刻蝕機(jī)滿足了14nm FinFET的各項工藝要求。設(shè)備采用了新開發(fā)的同步脈沖等離子技術(shù),通過對等離子體的實時控制和診斷來實現(xiàn)低損傷和高選擇比,采用多區(qū)ESC以獲得更高的CD均勻性,增加高溫上電極的設(shè)計來降低缺陷,增大Throughput。

NMC612D硅刻蝕機(jī)多項關(guān)鍵指標(biāo)達(dá)到國際先進(jìn)水平,滿足28/14納米多種硅刻蝕工藝制程要求,同時具備10/7納米工藝延伸能力。目前,該產(chǎn)品正式進(jìn)入上海集成電路研發(fā)中心,與客戶共同開展研發(fā)工作。

NMC612M 12英寸氮化鈦金屬硬掩膜刻蝕機(jī)攻破28-14nm制程。隨著器件尺寸的不斷縮小,溝槽的深寬比越來越大,對硬掩膜材料提出了更高的要求。傳統(tǒng)的雙大馬士革工藝所采用的氮化硅或氧化層掩膜,由于和低k介電層之間的選擇比不高,已經(jīng)無法滿足在溝槽刻蝕的同時保護(hù)溝槽之間低k介質(zhì)的要求。基于TiN金屬硬掩膜雙大馬士革工藝則應(yīng)運(yùn)而生。NMC612M氮化鈦金屬硬掩膜刻蝕機(jī)則是滿足TiN硬掩膜刻蝕要求的新一代金屬刻蝕機(jī)。該產(chǎn)品主要有三個亮點:(1)采用脈沖等離子技術(shù)和多區(qū)ESC技術(shù)。提供同步脈沖雙射頻等離子源,有效改善負(fù)載效應(yīng)和等離子體損傷,在刻蝕形貌控制、均勻性控、減少刻蝕損傷、刻蝕選擇比提高,可滿足刻蝕工藝的更高要求。(2)設(shè)備應(yīng)用多接口平臺技術(shù),滿足客戶不同的腔室數(shù)量要求。(3)采取先進(jìn)的表面處理和陶瓷鍍層技術(shù),有利于減少缺陷和顆粒的產(chǎn)生。該產(chǎn)品已攻破28-14nm制程。

公司注重內(nèi)部研發(fā),研發(fā)占比遠(yuǎn)高于國外龍頭。

(篇幅所限,內(nèi)容有刪減,詳見原文報告)

公司秉持平臺型企業(yè)發(fā)展戰(zhàn)略,合理外延擴(kuò)張,強(qiáng)化產(chǎn)品性能,拓展產(chǎn)品線。公司業(yè)務(wù)布局廣泛,目前擁有半導(dǎo)體裝備、真空裝備、新能源鋰電設(shè)備及精密元器件四個事業(yè)群,其中半導(dǎo)體裝備包括7大系列產(chǎn)品,囊括晶圓制造過程中的薄膜生長和刻蝕環(huán)節(jié)以及輔助設(shè)備,產(chǎn)品線豐富。2017年8月,公司全資子公司“北方華創(chuàng)微電子”擬以在美國設(shè)立子公司的方式以1,500萬美元收購美國Akrion Systems LLC公司,雙方于2018年1月完成交割。Akrion專注于半導(dǎo)體硅晶圓清洗設(shè)備,產(chǎn)品下游應(yīng)用領(lǐng)域與公司基本重合,本次收購后公司的清洗機(jī)業(yè)務(wù)部將擁有單片與批式清洗兩大產(chǎn)品線,產(chǎn)品結(jié)構(gòu)更加完善,公司技術(shù)與客戶積累也得到強(qiáng)化,進(jìn)一步擴(kuò)大清洗機(jī)市場。

  • 中微半導(dǎo)體(暫未上市):介質(zhì)刻蝕龍頭,逐漸打入硅通孔刻蝕

中微半導(dǎo)體公司暫未上市,但作為國內(nèi)龍頭刻蝕公司簡介如下供投資者參考。

中微半導(dǎo)體在芯片介質(zhì)刻蝕設(shè)備、硅通孔刻蝕設(shè)備、MOCVD設(shè)備三大細(xì)分領(lǐng)域均成為世界三強(qiáng)。中微半導(dǎo)體(AMEC)成立于2004年,是國內(nèi)領(lǐng)先的高端芯片設(shè)備企業(yè),也是大基金一期首個投資企業(yè)。公司專注于刻蝕和化學(xué)薄膜沉積類型設(shè)備的生產(chǎn),其產(chǎn)品能夠最大限度利用擁有的產(chǎn)品資源,如主機(jī)、軟件系統(tǒng)等,大大縮短產(chǎn)品開發(fā)周期和減少產(chǎn)品發(fā)開成本。其介質(zhì)刻蝕設(shè)備、硅通孔刻蝕設(shè)備、MOCVD設(shè)備廣泛應(yīng)用于國際市場和國內(nèi)市場,均位列世界三強(qiáng)。VOC設(shè)備客戶已驗證合格并實現(xiàn)重復(fù)訂貨,有限推廣到LCD產(chǎn)業(yè)。硅刻蝕設(shè)備公婆28-10nm制程,正在進(jìn)行客戶驗證階段。2014年中微公司一家獨占全國半導(dǎo)體設(shè)備出口額的79%。

公司已成功進(jìn)入海內(nèi)外領(lǐng)先客戶供應(yīng)鏈。目前,公司芯片介質(zhì)刻蝕設(shè)備已在臺積電7nm、10nm產(chǎn)線工作,并與其聯(lián)合進(jìn)行5nm認(rèn)證,同時占據(jù)中芯國際50%以上新增采購額。硅通孔刻蝕設(shè)備在我國TSV/CIS/MEMS刻蝕機(jī)市場市占率超過50%,MEMS刻蝕機(jī)已進(jìn)入德國博世和意法半導(dǎo)體。MOCVD設(shè)備方面,公司的第二代Primo A7 MOCVD設(shè)備在國內(nèi)市場已全面取代德國Aixtron和美國Veeco,獲得80%市場份額。公司設(shè)備已經(jīng)進(jìn)入了全球大半排名前列的晶圓廠。

公司深耕介質(zhì)刻蝕設(shè)備,7nm制程已實現(xiàn)量產(chǎn),5nm制程正在研發(fā);電容型介質(zhì)刻蝕設(shè)備已進(jìn)入全球前三。截至目前公司在介質(zhì)刻蝕設(shè)備已經(jīng)實現(xiàn)三代產(chǎn)品迭代,分別是Primo D-RIE、Primo AD-RIE、Primo SSC AD-RIE,以及一款與除膠機(jī)集成的Primo iDEA設(shè)備。公司介質(zhì)刻蝕設(shè)備已進(jìn)入16-7nm制程量產(chǎn),在10nm和7nm的研發(fā)線核準(zhǔn)數(shù)道BARK刻蝕應(yīng)用,并成為標(biāo)配設(shè)備,已經(jīng)開始5nm器件的刻蝕開發(fā)。目前介質(zhì)刻蝕設(shè)備共進(jìn)入25條芯片生產(chǎn)線,生產(chǎn)4300多萬片晶圓,其中在臺積電擁有232個反應(yīng)臺,累計生產(chǎn)晶圓超過2400萬片,包括臺積電7nm、10nm量產(chǎn)線。此外,中微的電容型介質(zhì)刻蝕設(shè)備已進(jìn)入全球市場前三,僅次于東京電子和泛林。

TSV硅通孔刻蝕設(shè)備方面,8英寸和12英寸設(shè)備國內(nèi)市占率超過50%。公司目前擁有兩款TSV硅通孔設(shè)備和MEMS刻蝕設(shè)備,分別為:Primo TSV200E和Primo TSV300E。兩款產(chǎn)品廣泛應(yīng)用于8寸和12寸制作工藝,具有以下創(chuàng)新點:(1)配備高效冷卻系統(tǒng)的5kW功率射頻電感耦合等離子體源功率電源,可以提高工藝調(diào)整能力。(2)擁有自主知識產(chǎn)權(quán)的氣體分布系統(tǒng)。(3)尺寸可變的氣體集聚還可以優(yōu)化刻蝕均勻性。硅通孔刻蝕設(shè)備應(yīng)用廣泛,中微在3年內(nèi)已運(yùn)出100個反應(yīng)臺,在MEMS和CIS加工超過340萬片晶圓。在TSV/MEMS/CIS刻蝕機(jī)市場已占有超過50%市場。中微自主設(shè)計的MEMS刻蝕機(jī)達(dá)到國際最先進(jìn)水平,與歐美同類型設(shè)備相比具有良率高、輸出量大、成本低的優(yōu)勢,已成功進(jìn)入國際最領(lǐng)先的德國博世和意法半導(dǎo)體。中微的TSV硅通孔刻蝕機(jī)在8英寸和12英寸設(shè)備國內(nèi)市場占有率超過50%,是業(yè)界唯一的雙臺機(jī),無論技術(shù)性能、產(chǎn)率和成本均優(yōu)于美國科林和英國SPTS,市場份額呈現(xiàn)進(jìn)一步上升趨勢。

公司技術(shù)水平領(lǐng)先,研發(fā)實力強(qiáng)勁。公司擁有尹志堯等100多位來自美國硅谷、日本、韓國、東南亞及臺灣地區(qū)的行業(yè)專家,曾領(lǐng)導(dǎo)或參與20多個國際先進(jìn)半導(dǎo)體設(shè)備的開發(fā)及市場化。研發(fā)人員占公司員工總數(shù)近三分之一。公司30年來一直致力于推動刻蝕技術(shù)和設(shè)備的發(fā)展,在32項關(guān)鍵的等離子體刻蝕技術(shù)和設(shè)備創(chuàng)新突破中,由中微成員或中微首創(chuàng)的有19項,占比達(dá)到60%。

公司布局MOCVD業(yè)務(wù),逐漸積累平臺型企業(yè)優(yōu)勢。除了刻蝕業(yè)務(wù),公司另一主營業(yè)務(wù)為MOCVD設(shè)備業(yè)務(wù)。MOCVD設(shè)備,是指金屬有機(jī)化合物氣相沉積設(shè)備,是加工LED芯片的核心設(shè)備。過去,該領(lǐng)域主要由美國Veeco和德國Aixtron兩家公司把控市場。目前公司已經(jīng)完全打敗國外競爭對手。公司第二代Primo A7 MOCVD 設(shè)備,已在國內(nèi)全面取代德國Aixtron和美國 Veeco的設(shè)備成為2017年三安、華燦、聚燦等主要LED芯片廠的唯一供應(yīng)商。目前該設(shè)備已有100余臺進(jìn)入產(chǎn)線。沉積設(shè)備與刻蝕設(shè)備皆為半導(dǎo)體制造的關(guān)鍵步驟設(shè)備,打通兩項業(yè)務(wù)有利于公司提供更加完善的解決方案,發(fā)揮設(shè)備參數(shù)設(shè)定、功能設(shè)計等方面的協(xié)同效應(yīng),增強(qiáng)產(chǎn)品競爭力,拉動業(yè)務(wù)增長。




上一篇:萬字長文解密蘋果A12芯片
下一篇:沒有了
  • 新浪新聞
  • 百度搜索
  • 搜狗搜索
  • 京東商城
  • 企業(yè)慧聰
  • 新浪科技
  • 科技訊
  • 鵪鶉蛋價格
  • 唯美圖片